一、组合逻辑电路部分 试题可能会给出一个逻辑功能要求,如设计一个3 - 8译码器。需要考生用verilog代码实现,这就考验对基本逻辑门、模块定义、输入输出端口声明的理解。例如: ```verilog module decoder_3_to_8( input [2:0] in, output reg [7:0] out ); always @(*) begin case (in) 3'b000: out = 8'b00000001; // 其他情况依次罗列 default: out = 8'b00000000; endcase end endmodule ```
二、时序逻辑电路部分 像设计一个简单的计数器。要考虑到时钟信号、复位信号的处理。例如一个模10计数器: ```verilog module counter( input clk, input rst, output reg [3:0] count ); always @(posedge clk or posedge rst) begin if (rst) count <= 4'b0000; else if (count == 4'd9) count <= 4'b0000; else count <= count + 1; end endmodule ```